Skip to content

Rezam1998/cocotb

 
 

Repository files navigation

cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.

Documentation Status Build Status PyPI

Installation

Cocotb can be installed by running pip install cocotb.

Quickstart

# Install pre-requisites (waveform viewer optional)
sudo yum install -y iverilog python-devel gtkwave

# Checkout git repositories
git clone https://github.com/cocotb/cocotb.git

# Install cocotb
pip install ./cocotb

# Run the tests...
cd cocotb/examples/endian_swapper/tests
make

# View the waveform
gtkwave waveform.vcd

Tutorials and examples

About

Coroutine Co-simulation Test Bench

Resources

License

Stars

Watchers

Forks

Packages

No packages published

Languages

  • Python 41.9%
  • C++ 25.6%
  • VHDL 11.1%
  • C 9.4%
  • Makefile 6.2%
  • Verilog 3.6%
  • Other 2.2%