Skip to content

kirknodeng/cocotb

 
 

Repository files navigation

cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.

Documentation Status Build Status Coverity Scan Status

Quickstart

# Install pre-requisites (waveform viewer optional)
sudo yum install -y iverilog python-devel gtkwave

# Checkout git repositories
git clone https://github.com/potentialventures/cocotb.git

# Run the tests...
cd cocotb/examples/endian_swapper/tests
make

# View the waveform
gtkwave waveform.vcd

Tutorials and examples

About

Coroutine Co-simulation Test Bench

Resources

License

Stars

Watchers

Forks

Packages

No packages published

Languages

  • Python 35.9%
  • C++ 22.1%
  • C 15.2%
  • VHDL 12.5%
  • Makefile 7.9%
  • Verilog 4.9%
  • Other 1.5%