def test_rule_007(self):
        oRule = port.rule_007()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '007')

        dExpected = utils.add_violation_list([12, 26, 29, 40, 43, 57, 60, 74])
        oRule.analyze(oFile)
        self.assertEqual(oRule.violations, dExpected)
    def test_rule_007(self):
        oRule = port.rule_007()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '007')

        lExpected = [14, 16]

        oRule.analyze(self.oFile)
        self.assertEqual(lExpected, utils.extract_violation_lines_from_violation_object(oRule.violations))
    def test_fix_rule_007(self):
        oRule = port.rule_007()

        oRule.fix(self.oFile)

        lActual = self.oFile.get_lines()

        self.assertEqual(lExpected, lActual)

        oRule.analyze(self.oFile)
        self.assertEqual(oRule.violations, [])
 def test_fix_rule_007(self):
     oRule = port.rule_007()
     oRule.fix(self.oFile)
     oRule.analyze(self.oFile)
     self.assertEqual(oRule.violations, [])
    def test_rule_port_007(self):
        oRule = port.rule_007()

        dExpected = []
        oRule.analyze(oFile)
        self.assertEqual(oRule.violations, dExpected)