Beispiel #1
0
 def _analyze(self, oFile, oLine, iLineNumber):
     if oLine.isVariable:
         self.dDatabase['variable'].append(
             utils.extract_class_identifier_list(oLine)[0])
     if oLine.insideProcess:
         lWords = extract_word_list(oLine)
         check_violations(self, lWords, iLineNumber)
Beispiel #2
0
 def _analyze(self, oFile, oLine, iLineNumber):
     if oLine.isSignal:
         self.dDatabase['signal'].extend(
             utils.extract_class_identifier_list(oLine))
     if oLine.insideArchitecture:
         if oLine.insideProcess and not oLine.isEndProcess and not oLine.isProcessDeclarative:
             lWords = extract_word_list(oLine)
             check_violations(self, lWords, iLineNumber)
         if oLine.isInstantiationPortAssignment:
             lWords = extract_word_list(oLine)
             check_violations(self, lWords, iLineNumber)
         if oLine.insideConcurrent:
             lWords = extract_word_list(oLine)
             check_violations(self, lWords, iLineNumber)
Beispiel #3
0
 def _analyze(self, oFile, oLine, iLineNumber):
     if oLine.isConstant:
         self.dDatabase['constant'].append(
             utils.extract_class_identifier_list(oLine)[0])
     if oLine.insideArchitecture:
         if oLine.insideProcess:
             lWords = extract_word_list(oLine)
             check_violations(self, lWords, iLineNumber)
         if oLine.isInstantiationPortAssignment:
             lWords = extract_word_list(oLine)
             check_violations(self, lWords, iLineNumber)
         if oLine.insideConcurrent:
             lWords = extract_word_list(oLine)
             check_violations(self, lWords, iLineNumber)
         if oLine.insideConstant:
             lWords = extract_word_list(oLine)
             check_violations(self, lWords, iLineNumber)
         if oLine.isSignal:
             lWords = extract_word_list(oLine)
             check_violations(self, lWords, iLineNumber)
Beispiel #4
0
    def test_extract_class_identifier_list(self):
        oLine = line.blank_line()
        oLine.update_line('signal s1, s2, s3 : std_logic := \'1\';')
        sExpected = ['s1', 's2', 's3']
        sActual = utils.extract_class_identifier_list(oLine)
        self.assertEqual(sExpected, sActual)

        oLine = line.blank_line()
        oLine.update_line('signal s1, s2, s3')
        sExpected = ['s1', 's2', 's3']
        sActual = utils.extract_class_identifier_list(oLine)
        self.assertEqual(sExpected, sActual)

        oLine = line.blank_line()
        oLine.update_line('signal s_x: std_logic;')
        sExpected = ['s_x']
        sActual = utils.extract_class_identifier_list(oLine)
        self.assertEqual(sExpected, sActual)

        oLine = line.blank_line()
        oLine.update_line('s1, s2, s3;')
        sExpected = ['s1', 's2', 's3']
        sActual = utils.extract_class_identifier_list(oLine)
        self.assertEqual(sExpected, sActual)

        oLine = line.blank_line()
        oLine.update_line('sig;')
        sExpected = ['sig']
        sActual = utils.extract_class_identifier_list(oLine)
        self.assertEqual(sExpected, sActual)

        oLine = line.blank_line()
        oLine.update_line('constant C_VALUE : integer;')
        sExpected = ['C_VALUE']
        sActual = utils.extract_class_identifier_list(oLine)
        self.assertEqual(sExpected, sActual)

        oLine = line.blank_line()
        oLine.update_line('variable var1, var2 : integer := -32;')
        sExpected = ['var1', 'var2']
        sActual = utils.extract_class_identifier_list(oLine)
        self.assertEqual(sExpected, sActual)
Beispiel #5
0
 def _extract(self, oLine):
     return utils.extract_class_identifier_list(oLine)