Ejemplo n.º 1
0
def test_rising_edge(dut):
    dut._log.info("Launching RisingEdge test")
    redge = RisingEdge(dut, Clock(dut.clock, 1, "ns"))
    yield redge.reset()
    cwait = Timer(4, "ns")
    yield FallingEdge(dut.clock)
    for i in range(5):
        dut.io_sclk <= 1
        yield cwait
        dut.io_sclk <= 0
        yield cwait