def test_rule_010(self):
     oRule = variable.rule_010()
     self.assertTrue(oRule)
     self.assertEqual(oRule.name, 'variable')
     self.assertEqual(oRule.identifier, '010')
     dExpected = [12, 18]
     oRule.analyze(oFile)
     self.assertEqual(oRule.violations, dExpected)
 def test_rule_010(self):
     oRule = variable.rule_010()
     self.assertTrue(oRule)
     self.assertEqual(oRule.name, 'variable')
     self.assertEqual(oRule.identifier, '010')
     dExpected = [{'line_number': 12, 'words_to_fix': {'STD_LOGIC_VECTOR'}}, {'line_number': 18, 'words_to_fix': {'STD_LOGIC'}}]
     oRule.analyze(oFile)
     self.assertEqual(oRule.violations, dExpected)
Ejemplo n.º 3
0
    def test_fix_rule_010_lower(self):
        oRule = variable.rule_010()

        oRule.fix(self.oFile)

        lActual = self.oFile.get_lines()

        self.assertEqual(lExpected_lower, lActual)

        oRule.analyze(self.oFile)
        self.assertEqual(oRule.violations, [])
Ejemplo n.º 4
0
    def test_rule_010_upper(self):
        oRule = variable.rule_010()
        oRule.case = 'upper'
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'variable')
        self.assertEqual(oRule.identifier, '010')

        lExpected = [4, 5, 10, 11]
        oRule.analyze(self.oFile)
        self.assertEqual(
            utils.extract_violation_lines_from_violation_object(
                oRule.violations), lExpected)
Ejemplo n.º 5
0
    def test_rule_010_lower(self):
        oRule = variable.rule_010()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'variable')
        self.assertEqual(oRule.identifier, '010')

        lExpected = [21, 22, 27, 28]

        oRule.analyze(self.oFile)
        self.assertEqual(
            utils.extract_violation_lines_from_violation_object(
                oRule.violations), lExpected)
Ejemplo n.º 6
0
    def test_rule_010(self):
        oRule = variable.rule_010()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'variable')
        self.assertEqual(oRule.identifier, '010')
        lExpected = []
        dViolation = utils.add_violation(12)
        dViolation['words_to_fix'] = {'STD_LOGIC_VECTOR'}
        lExpected.append(dViolation)

        dViolation = utils.add_violation(18)
        dViolation['words_to_fix'] = {'STD_LOGIC'}
        lExpected.append(dViolation)

        oRule.analyze(oFile)
        self.assertEqual(oRule.violations, lExpected)
Ejemplo n.º 7
0
 def test_fix_rule_010(self):
     oRule = variable.rule_010()
     dExpected = []
     oRule.fix(self.oFile)
     oRule.analyze(self.oFile)
     self.assertEqual(oRule.violations, dExpected)