Esempio n. 1
0
 def test_fix_rule_022(self):
     oRule = port.rule_022()
     oRule.fix(oFile)
     oRule.analyze(oFile)
     self.assertEqual(oRule.violations, [])
     self.assertEqual(oFile.lines[160].line, '  port (')
     self.assertEqual(oFile.lines[161].line, '    PORT1 : in    std_logic')
Esempio n. 2
0
    def test_rule_022(self):
        oRule = port.rule_022()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '022')

        dExpected = [utils.add_violation(159)]
        oRule.analyze(oFile)
        self.assertEqual(oRule.violations, dExpected)
Esempio n. 3
0
    def test_fix_rule_022(self):
        oRule = port.rule_022()

        oRule.fix(self.oFile)

        lActual = self.oFile.get_lines()

        self.assertEqual(lExpected, lActual)

        oRule.analyze(self.oFile)
        self.assertEqual(oRule.violations, [])
Esempio n. 4
0
    def test_rule_022(self):
        oRule = port.rule_022()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '022')

        lExpected = [13]

        oRule.analyze(self.oFile)
        self.assertEqual(
            lExpected,
            utils.extract_violation_lines_from_violation_object(
                oRule.violations))