コード例 #1
0
 def test_rule_001(self):
     oRule = signal.rule_001()
     self.assertTrue(oRule)
     self.assertEqual(oRule.name, 'signal')
     self.assertEqual(oRule.identifier, '001')
     lExpected = utils.add_violation_list([6, 8, 15])
     oRule.analyze(oFile)
     self.assertEqual(oRule.violations, lExpected)
コード例 #2
0
 def test_rule_001(self):
     oRule = signal.rule_001()
     self.assertTrue(oRule)
     self.assertEqual(oRule.name, 'signal')
     self.assertEqual(oRule.identifier, '001')
     dExpected = [6, 8, 15]
     oRule.analyze(oFile)
     self.assertEqual(oRule.violations, dExpected)
コード例 #3
0
    def test_fix_rule_001(self):
        oRule = signal.rule_001()

        oRule.fix(self.oFile)

        lActual = self.oFile.get_lines()

        self.assertEqual(lExpected, lActual)

        oRule.analyze(self.oFile)
        self.assertEqual(oRule.violations, [])
コード例 #4
0
    def test_rule_001(self):
        oRule = signal.rule_001()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'signal')
        self.assertEqual(oRule.identifier, '001')

        lExpected = [9, 10]

        oRule.analyze(self.oFile)
        self.assertEqual(
            lExpected,
            utils.extract_violation_lines_from_violation_object(
                oRule.violations))
コード例 #5
0
 def test_fix_rule_001(self):
     oRule = signal.rule_001()
     dExpected = []
     oRule.fix(self.oFile)
     oRule.analyze(self.oFile)
     self.assertEqual(oRule.violations, dExpected)