예제 #1
0
파일: sequential.py 프로젝트: fuath/logsim
def ram64(in_, load, address):
    "Memory of 64 registers, each 16-bit wide."
    rams = tuple(
        ram8(in_, load & select, address[-3:])
        for select in gates.dmux8way(hi, address[:3]))
    return gates.mux8way16(*(rams + (address[:3], )))
예제 #2
0
파일: sequential.py 프로젝트: darius/logsim
def ram64(in_, load, address):
    "Memory of 64 registers, each 16-bit wide."
    rams = tuple(ram8(in_, load & select, address[-3:])
                 for select in gates.dmux8way(hi, address[:3]))
    return gates.mux8way16(*(rams + (address[:3],)))
예제 #3
0
파일: sequential.py 프로젝트: fuath/logsim
def ram8(in_, load, address):
    "Memory of 8 registers, each 16-bit wide."
    registers = tuple(
        register(in_, load & select) for select in gates.dmux8way(hi, address))
    return gates.mux8way16(*(registers + (address, )))
예제 #4
0
파일: sequential.py 프로젝트: darius/logsim
def ram8(in_, load, address):
    "Memory of 8 registers, each 16-bit wide."
    registers = tuple(register(in_, load & select)
                      for select in gates.dmux8way(hi, address))
    return gates.mux8way16(*(registers + (address,)))