예제 #1
0
from src.base import SourceLocation, Target

SourceLocation(
    name='iverilog',
    vcs='git',
    location='https://github.com/steveicarus/iverilog',
    revision='origin/master',
)

Target(
    name='iverilog',
    sources=['iverilog'],
    license_file='iverilog/COPYING',
    package='iverilog',
)
예제 #2
0
from src.base import SourceLocation, Target

SourceLocation(name='python3',
               vcs='git',
               location='https://github.com/python/cpython',
               revision='tags/v3.8.6')

Target(
    name='python3',
    sources=['python3'],
    patches=['python38.diff', 'python38-mingw.diff', 'python38-darwin.diff'],
    license_file='python3/LICENSE',
)

SourceLocation(
    name='python2',
    vcs='git',
    location='https://github.com/python/cpython',
    revision='tags/v2.7.18',
)

Target(
    name='python2',
    sources=['python2'],
    patches=['python27.diff', 'python27-darwin.diff'],
    license_file='python2/LICENSE',
)
예제 #3
0
from src.base import SourceLocation, Target

# main
SourceLocation(
    name='nextpnr',
    vcs='git',
    location='https://github.com/YosysHQ/nextpnr',
    revision='origin/master',
)

Target(
    name='nextpnr-bba',
    sources=['nextpnr'],
    build_native=True,
    gitrev=[('nextpnr', 'bba')],
)

Target(
    name='nextpnr-generic',
    sources=['nextpnr'],
    dependencies=['python3', 'nextpnr-bba'],
    resources=['python3'],
    license_file='nextpnr/COPYING',
)

Target(
    name='nextpnr-ice40',
    sources=['nextpnr'],
    dependencies=['python3', 'nextpnr-bba', 'icestorm-bba'],
    resources=['python3'],
    license_file='nextpnr/COPYING',
예제 #4
0
from src.base import SourceLocation, Target

SourceLocation(name='yosys',
               vcs='git',
               location='https://github.com/YosysHQ/yosys',
               revision='origin/master')

Target(
    name='yosys',
    sources=['yosys'],
    resources=['xdot'],
    license_file='yosys/COPYING',
)

Target(
    name='xdot',
    dependencies=['python3'],
    resources=['python3'],
    patches=['python3_package.sh'],
    arch=['linux-x64'],
    sources=[],
)
예제 #5
0
from src.base import SourceLocation, Target

SourceLocation(
	name = 'ghdl',
	vcs = 'git',
	location = 'https://github.com/ghdl/ghdl',
	revision = 'origin/master',
)

Target(
	name = 'ghdl',
	sources = [ 'ghdl' ],
	license_file = 'ghdl/COPYING.md',
	arch = [ 'linux-x64' ],
)
예제 #6
0
from src.base import SourceLocation, Target

SourceLocation(
	name = 'verilator',
	vcs = 'git',
	location = 'https://github.com/verilator/verilator',
	revision = 'origin/master',
)

Target(
	name = 'verilator',
	sources = [ 'verilator' ],
	patches = [ 'verilator.diff' ],
	license_file = 'verilator/LICENSE',
)
예제 #7
0
from src.base import SourceLocation, Target

SourceLocation(name='mcy',
               vcs='git',
               location='https://github.com/YosysHQ/mcy',
               revision='origin/master')

Target(
    name='mcy',
    sources=['mcy'],
    resources=['flask'],
    license_file='mcy/COPYING',
)

SourceLocation(
    name='flask',
    vcs='git',
    location='https://github.com/pallets/flask',
    revision='tags/1.1.2',
)

Target(
    name='flask',
    sources=['flask'],
    dependencies=['python3'],
    resources=['python3'],
    license_file='flask/LICENSE.rst',
)
예제 #8
0
from src.base import SourceLocation, Target

SourceLocation(name='sby',
               vcs='git',
               location='https://github.com/YosysHQ/SymbiYosys',
               revision='origin/master')

Target(
    name='sby',
    sources=['sby'],
    resources=['python3'],
    license_file='sby/COPYING',
)

SourceLocation(name='sby-gui',
               vcs='git',
               location='https://github.com/YosysHQ/sby-gui',
               revision='origin/master')

Target(
    name='sby-gui',
    sources=['sby-gui'],
    license_file='sby-gui/COPYING',
)
예제 #9
0
from src.base import SourceLocation, Target

# HDL
SourceLocation(
    name='migen',
    vcs='git',
    location='https://github.com/m-labs/migen',
    revision='origin/master',
)

SourceLocation(
    name='nmigen',
    vcs='git',
    location='https://github.com/nmigen/nmigen',
    revision='origin/master',
)

# LiteX SoC builder
SourceLocation(
    name='pythondata-software-compiler_rt',
    vcs='git',
    location='https://github.com/litex-hub/pythondata-software-compiler_rt',
    revision='origin/master',
)

SourceLocation(
    name='litex',
    vcs='git',
    location='https://github.com/enjoy-digital/litex',
    revision='origin/master',
)
예제 #10
0
from src.base import SourceLocation, Target

# HDL
SourceLocation(
	name = 'amaranth',
	vcs = 'git',
	location = 'https://github.com/amaranth-lang/amaranth',
	revision = 'origin/main',
)

SourceLocation(
	name = 'amaranth-boards',
	vcs = 'git',
	location = 'https://github.com/amaranth-lang/amaranth-boards',
	revision = 'origin/main',
)

SourceLocation(
	name = 'migen',
	vcs = 'git',
	location = 'https://github.com/m-labs/migen',
	revision = 'origin/master',
)

Target(
	name = 'pyhdl',
	sources = [ 'amaranth', 'amaranth-boards', 'migen' ],
	dependencies = [ 'python3' ],
	resources = [ 'python3' ],
	patches = [ 'python3_package.sh' ],
)
예제 #11
0
from src.base import SourceLocation, Target

# avy
SourceLocation(
    name='avy',
    vcs='git',
    location='https://bitbucket.org/arieg/extavy',
    revision='origin/master',
)

Target(
    name='avy',
    sources=['avy'],
    patches=['avy.diff'],
    license_url=
    'https://bitbucket.org/arieg/avy/raw/a9685b8ba660e46fc3325797ef059cbe95adaf10/LICENSE',
)

# bitwuzla

SourceLocation(name='bitwuzla',
               vcs='git',
               location='https://github.com/bitwuzla/bitwuzla',
               revision='origin/main')

Target(
    name='bitwuzla',
    sources=['bitwuzla'],
    dependencies=['lingeling', 'cadical', 'btor2tools'],
    patches=['Toolchain-mingw64.cmake'],
    license_file='bitwuzla/COPYING',
예제 #12
0
from src.base import SourceLocation, Target

SourceLocation(name='yosys',
               vcs='git',
               location='https://github.com/YosysHQ/yosys',
               revision='origin/master')

SourceLocation(name='ghdl-yosys-plugin',
               vcs='git',
               location='https://github.com/ghdl/ghdl-yosys-plugin',
               revision='origin/master')

SourceLocation(name='graphviz',
               vcs='git',
               location='https://gitlab.com/graphviz/graphviz',
               revision='tags/2.42.2')

Target(
    name='yosys',
    sources=['yosys'],
    resources=['xdot', 'graphviz'],
    license_file='yosys/COPYING',
)

Target(
    name='ghdl-yosys-plugin',
    sources=['ghdl-yosys-plugin'],
    dependencies=['ghdl', 'yosys'],
    license_file='ghdl-yosys-plugin/LICENSE',
    arch=['linux-x64'],
)
예제 #13
0
from src.base import SourceLocation, Target

# dfu-util

SourceLocation(name='dfu-util',
               vcs='git',
               location='https://git.code.sf.net/p/dfu-util/dfu-util',
               revision='origin/master')

Target(
    name='dfu-util',
    sources=['dfu-util'],
    license_file='dfu-util/COPYING',
)

# ecpdap

SourceLocation(
    name='ecpdap',
    vcs='git',
    location='https://github.com/adamgreig/ecpdap',
    revision='origin/master',
)

Target(
    name='ecpdap',
    sources=['ecpdap'],
    license_file='ecpdap/LICENSE-MIT',
)

# ecpprog
예제 #14
0
from src.base import SourceLocation, Target

# avy
SourceLocation(
    name='avy',
    vcs='git',
    location='https://bitbucket.org/arieg/extavy',
    revision='origin/master',
)

Target(
    name='avy',
    sources=['avy'],
    patches=['avy.diff'],
    license_url=
    'https://bitbucket.org/arieg/avy/raw/a9685b8ba660e46fc3325797ef059cbe95adaf10/LICENSE',
)

# boolector

SourceLocation(name='lingeling',
               vcs='git',
               location='https://github.com/arminbiere/lingeling',
               revision='origin/master')

SourceLocation(name='cadical',
               vcs='git',
               location='https://github.com/arminbiere/cadical',
               revision='origin/master')

SourceLocation(name='btor2tools',