Пример #1
0
def test_modelsim_configure():

    backend.configure(sim_params)

    assert '' == compare_file(ref_dir, work_root, 'fusesoc_build_rtl.tcl')
    assert '' == compare_file(ref_dir, work_root, 'fusesoc_main.tcl')
    assert '' == compare_file(ref_dir, work_root, 'fusesoc_run.tcl')
    assert '' == compare_file(ref_dir, work_root, 'Makefile')
Пример #2
0
def test_icarus_build():
    os.environ['PATH'] = os.path.join(
        tests_dir, 'mock_commands') + ':' + os.environ['PATH']

    backend.build()

    assert '' == compare_file(ref_dir, work_root, 'iverilog-vpi.cmd')
    assert '' == compare_file(ref_dir, work_root, 'iverilog.cmd')
Пример #3
0
def test_icestorm_configure():

    backend.configure(params)

    ys_file = core.name.sanitized_name + '.ys'

    assert '' == compare_file(ref_dir, work_root, "Makefile")
    assert '' == compare_file(ref_dir, work_root, "config.mk")
    assert '' == compare_file(ref_dir, work_root, ys_file)
Пример #4
0
def test_modelsim_run():

    #FIXME: Do something about the path to vsim
    os.environ['MODEL_TECH'] = os.path.join(tests_dir, 'mock_commands')
    backend.run(sim_params)

    assert '' == compare_file(ref_dir, work_root, 'run.cmd')
Пример #5
0
def test_vivado_configure():

    backend.configure(params)

    tcl_file = core.name.sanitized_name + '.tcl'

    assert '' == compare_file(ref_dir, work_root, tcl_file)
Пример #6
0
def test_icarus_run():

    os.environ['PATH'] = os.path.join(tests_dir, 'mock_commands')+':'+os.environ['PATH']

    backend.run(sim_params)

    assert '' == compare_file(ref_dir, work_root, 'run.cmd')
Пример #7
0
def test_verilator_run():
    dummy_exe = 'V' + core.verilator.top_module
    shutil.copy(os.path.join(ref_dir, dummy_exe),
                os.path.join(work_root, dummy_exe))

    backend.run(params)

    assert '' == compare_file(ref_dir, work_root, 'run.cmd')
Пример #8
0
def test_icestorm_build():
    os.environ['PATH'] = os.path.join(
        tests_dir, 'mock_commands') + ':' + os.environ['PATH']
    backend.build()

    assert '' == compare_file(ref_dir, work_root, 'run.cmd')
    assert os.path.isfile(os.path.join(work_root, 'pre_build_script_executed'))
    assert os.path.isfile(os.path.join(work_root,
                                       'post_build_script_executed'))
Пример #9
0
def test_icarus_run():

    os.environ['PATH'] = os.path.join(
        tests_dir, 'mock_commands') + ':' + os.environ['PATH']

    backend.run(sim_params)

    assert '' == compare_file(ref_dir, work_root, 'run.cmd')
    assert os.path.isfile(os.path.join(work_root, 'pre_run_script_executed'))
    assert os.path.isfile(os.path.join(work_root, 'post_run_script_executed'))
Пример #10
0
def test_quartus():
    tests_dir = os.path.dirname(__file__)
    params = vlogparams + vlogdefines

    core = get_core("sockit")

    backend = get_synth('quartus', core)
    backend.configure(params)

    tcl_file = core.name.sanitized_name + '.tcl'
    ref_dir = os.path.join(tests_dir, __name__)

    assert '' == compare_file(ref_dir, backend.work_root, tcl_file)
Пример #11
0
def test_quartus():
    tests_dir = os.path.dirname(__file__)
    params = vlogparams + vlogdefines

    core = get_core("sockit")

    backend = _import('build', core.main.backend)(core, export=False)
    backend.configure(params)

    tcl_file = core.name.sanitized_name + '.tcl'
    ref_dir = os.path.join(tests_dir, __name__)

    assert '' == compare_file(ref_dir, backend.work_root, tcl_file)
Пример #12
0
def test_icarus_configure():

    backend.configure(sim_params)

    assert '' == compare_file(ref_dir, work_root, 'icarus.scr')
Пример #13
0
def test_icestorm_build():
    os.environ['PATH'] = os.path.join(
        tests_dir, 'mock_commands') + ':' + os.environ['PATH']
    backend.build(params)

    assert '' == compare_file(ref_dir, work_root, 'run.cmd')
Пример #14
0
def test_verilator_configure():
    backend.configure(params)

    assert '' == compare_file(ref_dir, work_root, 'config.mk')
    assert '' == compare_file(ref_dir, work_root, 'Makefile')
    assert '' == compare_file(ref_dir, work_root, core.sanitized_name + '.vc')
Пример #15
0
def test_icarus_configure():

    backend.configure(sim_params)

    assert '' == compare_file(ref_dir, work_root, core.sanitized_name+'.scr')