def test_rule_006(self):
        oRule = port.rule_006()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '006')

        dExpected = utils.add_violation_list([27, 30, 44, 58])
        oRule.analyze(oFile)
        self.assertEqual(oRule.violations, dExpected)
 def test_fix_rule_006(self):
     oRule = port.rule_006()
     oRule.fix(self.oFile)
     oRule.analyze(self.oFile)
     self.assertEqual(oRule.violations, [])