示例#1
0
 def test_fix_rule_016(self):
     oRule = port.rule_016()
     oRule.fix(oFile_rule_016)
     oRule.analyze(oFile_rule_016)
     self.assertEqual(oRule.violations, [])
     self.assertEqual(oFile_rule_016.lines[140].indentLevel + 1,
                      oFile_rule_016.lines[141].indentLevel)
    def test_rule_016(self):
        oRule = port.rule_016()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '016')

        dExpected = [utils.add_violation(140)]
        oRule.analyze(oFile)
        self.assertEqual(oRule.violations, dExpected)
示例#3
0
    def test_fix_rule_016(self):
        oRule = port.rule_016()

        oRule.fix(self.oFile)

        lActual = self.oFile.get_lines()

        self.assertEqual(lExpected, lActual)

        oRule.analyze(self.oFile)
        self.assertEqual(oRule.violations, [])
示例#4
0
 def test_fix_rule_016(self):
     oRule = port.rule_016()
     oRule.fix(self.oFile)
     oRule.analyze(self.oFile)
     self.assertEqual(oRule.violations, [])
     self.assertEqual(self.oFile.lines[3].line, '  port  (')
     self.assertEqual(self.oFile.lines[4].line,
                      '    PORT_1 : in std_logic_vector(12 downto 0),')
     self.assertEqual(self.oFile.lines[5].line,
                      '    PORT_2 : out std_logic_vector(0 to 25)')
     self.assertEqual(self.oFile.lines[3].indentLevel + 1,
                      self.oFile.lines[4].indentLevel)
    def test_fix_rule_016(self):
        lFile_rule_016 = utils.read_vhdlfile(
            os.path.join(os.path.dirname(__file__), '..', 'port',
                         'port_test_input.vhd'))
        oFile_rule_016 = vhdlFile.vhdlFile(lFile_rule_016)

        oRule = port.rule_016()
        oRule.fix(oFile_rule_016)
        oRule.analyze(oFile_rule_016)
        self.assertEqual(oRule.violations, [])
        self.assertEqual(oFile_rule_016.lines[140].indentLevel + 1,
                         oFile_rule_016.lines[141].indentLevel)
示例#6
0
    def test_rule_016(self):
        oRule = port.rule_016()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '016')

        lExpected = [13]

        oRule.analyze(self.oFile)
        self.assertEqual(
            lExpected,
            utils.extract_violation_lines_from_violation_object(
                oRule.violations))