示例#1
0
    def test_rule_018(self):
        oRule = port.rule_018()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '018')

        dExpected = [{
            'line_number': 26,
            'words_to_fix': {'SIGNED'}
        }, {
            'line_number': 27,
            'words_to_fix': {'STD_LOGIC'}
        }, {
            'line_number': 28,
            'words_to_fix': {'NATURAL'}
        }, {
            'line_number': 29,
            'words_to_fix': {'INTEGER'}
        }, {
            'line_number': 30,
            'words_to_fix': {'STD_LOGIC_VECTOR'}
        }, {
            'line_number': 31,
            'words_to_fix': {'UNSIGNED'}
        }, {
            'line_number': 44,
            'words_to_fix': {'STD_LOGIC'}
        }]
        oRule.analyze(oFile)
        self.assertEqual(oRule.violations, dExpected)
    def test_rule_018(self):
        oRule = port.rule_018()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '018')

        dExpected = [26,27,28,29,30,31,44]
        oRule.analyze(oFile)
        self.assertEqual(oRule.violations, dExpected)
示例#3
0
    def test_fix_rule_018_lower(self):
        oRule = port.rule_018()

        oRule.fix(self.oFile)

        lActual = self.oFile.get_lines()

        self.assertEqual(lExpected_lower, lActual)

        oRule.analyze(self.oFile)
        self.assertEqual(oRule.violations, [])
示例#4
0
    def test_rule_018_upper(self):
        oRule = port.rule_018()
        oRule.case = 'upper'
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '018')

        lExpected = [5, 6, 8, 9, 10, 11]
        oRule.analyze(self.oFile)
        self.assertEqual(
            utils.extract_violation_lines_from_violation_object(
                oRule.violations), lExpected)
示例#5
0
    def test_rule_018_lower(self):
        oRule = port.rule_018()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '018')

        lExpected = [23, 24, 26, 27, 28, 29]

        oRule.analyze(self.oFile)
        self.assertEqual(
            utils.extract_violation_lines_from_violation_object(
                oRule.violations), lExpected)
    def test_rule_018(self):
        oRule = port.rule_018()
        self.assertTrue(oRule)
        self.assertEqual(oRule.name, 'port')
        self.assertEqual(oRule.identifier, '018')

        lExpected = []
        dViolation = utils.add_violation(26)
        dViolation['words_to_fix'] = {'SIGNED'}
        lExpected.append(dViolation)

        dViolation = utils.add_violation(27)
        dViolation['words_to_fix'] = {'STD_LOGIC'}
        lExpected.append(dViolation)

        dViolation = utils.add_violation(28)
        dViolation['words_to_fix'] = {'NATURAL'}
        lExpected.append(dViolation)

        dViolation = utils.add_violation(29)
        dViolation['words_to_fix'] = {'INTEGER'}
        lExpected.append(dViolation)

        dViolation = utils.add_violation(30)
        dViolation['words_to_fix'] = {'STD_LOGIC_VECTOR'}
        lExpected.append(dViolation)

        dViolation = utils.add_violation(31)
        dViolation['words_to_fix'] = {'UNSIGNED'}
        lExpected.append(dViolation)

        dViolation = utils.add_violation(44)
        dViolation['words_to_fix'] = {'STD_LOGIC'}
        lExpected.append(dViolation)

        oRule.analyze(oFile)
        self.assertEqual(oRule.violations, lExpected)
 def test_fix_rule_018(self):
     oRule = port.rule_018()
     oRule.fix(self.oFile)
     oRule.analyze(self.oFile)
     self.assertEqual(oRule.violations, [])