예제 #1
0
 def __init__(self, tree, event, sleepTime, startTime, cpu):
     Job.__init__(self, cpu=cpu)
     self.tree = tree
     self.event = event
     self.sleepTime = sleepTime
     self.startTime = startTime
     self.cpu = cpu
예제 #2
0
 def __init__(self, options, outdir, halfile, genome2seq2len, type):
     Job.__init__(self)
     self.options = options
     self.outdir = outdir
     self.halfile = halfile
     self.genome2seq2len = genome2seq2len
     self.type = type  #type has to be bed or wiggle
예제 #3
0
 def __init__(self, halfile, names, outdir, maxOut, minIn):
     Job.__init__(self)
     self.halfile = halfile
     self.names = names
     self.outdir = outdir
     self.maxOut = maxOut
     self.minIn = minIn
예제 #4
0
 def __init__(self, tree, event, sleepTime, startTime, cores):
     Job.__init__(self, cores=cores)
     self.tree = tree
     self.event = event
     self.sleepTime = sleepTime
     self.startTime = startTime
     self.cores = cores
예제 #5
0
 def __init__(self, halfile, tree, bigbeddir, maxOut, minIn):
     Job.__init__(self)
     self.halfile = halfile
     self.tree = tree
     self.bigbeddir = bigbeddir
     self.maxOut = maxOut
     self.minIn = minIn
예제 #6
0
 def __init__(self, tree, event, sleepTime, startTime, cores):
     Job.__init__(self, cores=cores)
     self.tree = tree
     self.event = event
     self.sleepTime = sleepTime
     self.startTime = startTime
     self.cores = cores
예제 #7
0
 def __init__(self, genome, seq2len, halfile, outdir, options):
     Job.__init__(self)
     self.genome = genome
     self.seq2len = seq2len
     self.halfile = halfile
     self.outdir = outdir
     self.options = options
예제 #8
0
 def __init__(self, genomes, genome2seq2len, halfile, options, outdir):
     Job.__init__(self)
     self.genomes = genomes
     self.genome2seq2len = genome2seq2len
     self.halfile = halfile
     self.options = options
     self.outdir = outdir
예제 #9
0
 def __init__(self, chromosome, magma_bin, sample_size, annotated_file, daner_file, reference_data):
     Job.__init__(self, memory="100M", cores=1, disk="100M")
     self.chromosome = chromosome
     self.magma_bin = magma_bin
     self.sample_size = sample_size
     self.annotated_file = annotated_file
     self.daner_file = daner_file
     self.reference_data = reference_data
예제 #10
0
 def __init_(self, cladedir, halfile, query, queryBed, target, chrsizefile):
     Job.__init__(self)
     self.cladedir = cladedir
     self.halfile = halfile
     self.query = query
     self.queryBed = queryBed
     self.target = target
     self.chrsizefile = chrsizefile
예제 #11
0
    def __init__(self, snp_loc_file):
        Job.__init__(self, memory="100M", cores=1, disk="100M")
        self.snp_loc_file = snp_loc_file

        self.magma_bin = "/Users/vasya/Projects/ripkelab/ricopili/ricopili_bioinfomatics/resources/magma_macOS/magma"
        self.gene_loc_ref = "/Users/vasya/Projects/ripkelab/ricopili/ricopili_bioinfomatics/resources/magma_macOS/reference_data/NCBI37.3.gene.loc"
        self.ref_1000g = "/Users/vasya/Projects/ripkelab/ricopili/magma_reference_data/g1000_eur"
        self.daner_file = "/Users/vasya/Projects/ripkelab/ricopili/ricopili_bioinfomatics/test/resources/pgc_scz_chr22_subset.daner"
        self.sample_size = "2000"
예제 #12
0
파일: wigTrack.py 프로젝트: 5l1v3r1/hal-1
 def __init__(self, genomeoutdir, wig, genome, othergenome, halfile,
              outdir):
     Job.__init__(self)
     self.genomeoutdir = genomeoutdir
     self.wig = wig
     self.genome = genome
     self.othergenome = othergenome
     self.halfile = halfile
     self.outdir = outdir
예제 #13
0
파일: wigTrack.py 프로젝트: 5l1v3r1/hal-1
 def __init__(self, indir, halfile, genome2seq2len, bigwigdir, noLiftover,
              outdir):
     Job.__init__(self)
     self.indir = indir
     self.halfile = halfile
     self.genome2seq2len = genome2seq2len
     self.bigwigdir = bigwigdir
     self.noLiftover = noLiftover
     self.outdir = outdir
예제 #14
0
파일: bedTrack.py 프로젝트: 5l1v3r1/hal-1
 def __init__(self, indir, halfile, genome2seq2len, bigbeddir, noLiftover,
              tab, outdir, options):
     Job.__init__(self)
     self.indir = indir
     self.halfile = halfile
     self.genome2seq2len = genome2seq2len
     self.bigbeddir = bigbeddir
     self.noLiftover = noLiftover
     self.tab = tab
     self.outdir = outdir
     self.options = options
예제 #15
0
파일: bedTrack.py 프로젝트: 5l1v3r1/hal-1
 def __init__(self, genomeoutdir, bed, tab, asfile, extrafields, numfield,
              genome, othergenome, halfile, outdir, options):
     Job.__init__(self)
     self.genomeoutdir = genomeoutdir
     self.bed = bed
     self.tab = tab
     self.asfile = asfile
     self.extrafields = extrafields
     self.numfield = numfield
     self.genome = genome
     self.othergenome = othergenome
     self.halfile = halfile
     self.outdir = outdir
     self.options = options
예제 #16
0
 def __init__(self,
              dbElem,
              testFunc,
              snapshotPath=None,
              memory="100M",
              cores=1,
              disk="100M"):
     self.dbElem = dbElem
     self.testFunc = testFunc
     self.snapshotPath = snapshotPath
     Job.__init__(self,
                  memory=memory,
                  cores=cores,
                  disk=disk,
                  preemptable=False)
예제 #17
0
    def __init__(self,
                 reads1=None,
                 reads2=None,
                 index=None,
                 indexdir="",
                 quantex="",
                 *args,
                 **kwargs):
        super(SalmonAlignQuantCls, self).__init__(*args, **kwargs)
        Job.__init__(self)

        self.reads1 = reads1
        self.reads2 = reads2
        self.index = index
        self.indexdir = indexdir
        self.quantex = quantex
예제 #18
0
파일: cwltoil.py 프로젝트: kellrott/toil
 def __init__(self, step, outputs):
     Job.__init__(self)
     self.step = step
     self.outputs = outputs
예제 #19
0
 def __init__(self):
     Job.__init__(self, memory=100000, cores=2, disk="3G")
예제 #20
0
 def __init__(self, inputFileID, failFileID):
     Job.__init__(self, memory=100000, cores=1, disk="1M")
     self.inputFileID = inputFileID
     self.failFileID = failFileID
예제 #21
0
 def __init__(self):
     Job.__init__(self)
예제 #22
0
파일: cwltoil.py 프로젝트: arkal/toil
 def __init__(self, cwlwf, cwljob):
     Job.__init__(self)
     self.cwlwf = cwlwf
     self.cwljob = cwljob
예제 #23
0
파일: cwltoil.py 프로젝트: arkal/toil
 def __init__(self, step, cwljob):
     Job.__init__(self)
     self.step = step
     self.cwljob = cwljob
예제 #24
0
파일: cwltoil.py 프로젝트: arkal/toil
 def __init__(self, cwljob, outdir):
     Job.__init__(self)
     self.cwljob = cwljob
     self.outdir = outdir
예제 #25
0
 def __init__(self):
     Job.__init__(self,  memory=100000, cores=2, disk="3G")
예제 #26
0
파일: cwltoil.py 프로젝트: adderan/toil
 def __init__(self, step, cwljob):
     Job.__init__(self)
     self.step = step
     self.cwljob = cwljob
     self.valueFrom = {shortname(i["id"]): i["valueFrom"] for i in step.tool["inputs"] if "valueFrom" in i}
예제 #27
0
파일: cwltoil.py 프로젝트: kellrott/toil
 def __init__(self, cwltool, cwljob):
     Job.__init__(self)
     self.cwltool = cwltool
     self.cwljob = cwljob
예제 #28
0
 def __init__(self, level, foo):
     Job.__init__(self, memory=100000, cores=2, disk="300M")
     self.level = level
     self.foo = foo
예제 #29
0
 def __init__(self, inputFileID, failFileID):
     Job.__init__(self, memory=100000, cores=1, disk="1M")
     self.inputFileID = inputFileID
     self.failFileID = failFileID
예제 #30
0
파일: cwltoil.py 프로젝트: kellrott/toil
 def __init__(self, cwlwf, cwljob, basedir):
     Job.__init__(self)
     self.cwlwf = cwlwf
     self.cwljob = cwljob
     self.basedir = basedir
예제 #31
0
파일: cwltoil.py 프로젝트: kellrott/toil
 def __init__(self, cwlwf, cwljob):
     Job.__init__(self)
     self.cwlwf = cwlwf
     self.cwljob = cwljob
예제 #32
0
 def __init__(self):
     Job.__init__(self, memory=1000000, cores=0.01)
예제 #33
0
 def __init__(self, i):
     Job.__init__(self, memory=200000, cores=0.01)
     self.i = i
예제 #34
0
 def __init__(self,fileId):
     Job.__init__(self)
     self.fileId=fileId
예제 #35
0
파일: cwltoil.py 프로젝트: arkal/toil
 def __init__(self, cwltool, cwljob):
     Job.__init__(self)
     self.cwltool = cwltool
     self.cwljob = cwljob
예제 #36
0
파일: cwltoil.py 프로젝트: kellrott/toil
 def __init__(self, cwljob, outdir):
     Job.__init__(self)
     self.cwljob = cwljob
     self.outdir = outdir
예제 #37
0
파일: cwltoil.py 프로젝트: arkal/toil
 def __init__(self, step, outputs):
     Job.__init__(self)
     self.step = step
     self.outputs = outputs
예제 #38
0
 def __init__(self, msg_portion_file_id, trigger_file_id, message_portion_2):
     Job.__init__(self,  memory=100000, cores=1, disk="1M")
     self.msg_portion_file_id = msg_portion_file_id
     self.trigger_file_id = trigger_file_id
     self.message_portion_2 = message_portion_2
예제 #39
0
파일: cwltoil.py 프로젝트: arkal/toil
 def __init__(self, cwlwf, cwljob, basedir):
     Job.__init__(self)
     self.cwlwf = cwlwf
     self.cwljob = cwljob
     self.basedir = basedir
예제 #40
0
파일: stress.py 프로젝트: Duke-GCB/toil
 def __init__(self, numJobs):
     Job.__init__(self,  memory=100000, cores=0.01)
     self.numJobs = numJobs
예제 #41
0
파일: stress.py 프로젝트: Duke-GCB/toil
 def __init__(self):
     Job.__init__(self,  memory=1000000, cores=0.01)
예제 #42
0
파일: stress.py 프로젝트: Duke-GCB/toil
 def __init__(self,i):
     Job.__init__(self,  memory=200000, cores=0.01)
     self.i = i
예제 #43
0
 def __init__(self):
     Job.__init__(self)
예제 #44
0
 def __init__(self, numJobs):
     Job.__init__(self, memory=100000, cores=0.01)
     self.numJobs = numJobs
예제 #45
0
 def __init__(self, fileId):
     Job.__init__(self)
     self.fileId = fileId
예제 #46
0
파일: cwltoil.py 프로젝트: kellrott/toil
 def __init__(self, step, cwljob):
     Job.__init__(self)
     self.step = step
     self.cwljob = cwljob