コード例 #1
0
ファイル: lx9_microboard.py プロジェクト: tmbinc/migen
    def __init__(self):
        XilinxISEPlatform.__init__(
            self, "xc6slx9-2csg324", _io,
            lambda p: SimpleCRG(p, "clk_y3", "user_btn"))
        self.add_platform_command("""
CONFIG VCCAUX = "3.3";
""")
コード例 #2
0
ファイル: ztex_115d.py プロジェクト: jix/mimisc
    def __init__(self, manual_timing=False, extra_io=[]):
        io = _io + extra_io
        self.manual_timing = manual_timing
        XilinxISEPlatform.__init__(self, "xc6slx150-3csg484", io,
                lambda p: SimpleCRG(p, "clk_if", None))
        self.add_platform_command("""
CONFIG VCCAUX = "2.5";
""")
コード例 #3
0
ファイル: ztex_115d.py プロジェクト: jix/mimisc
    def __init__(self, manual_timing=False, extra_io=[]):
        io = _io + extra_io
        self.manual_timing = manual_timing
        XilinxISEPlatform.__init__(self, "xc6slx150-3csg484", io,
                                   lambda p: SimpleCRG(p, "clk_if", None))
        self.add_platform_command("""
CONFIG VCCAUX = "2.5";
""")
コード例 #4
0
ファイル: lx9_microboard.py プロジェクト: kristianpaul/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx9-2csg324", _io,
				lambda p: CRG_SE(p, "clk_y3", "user_btn"))
		self.add_platform_command("""
CONFIG VCCAUX = "3.3";
""")
コード例 #5
0
ファイル: kc705.py プロジェクト: fallen/mibuild
	def __init__(self, crg_factory=lambda p: CRG_DS(p, "user_clk", "cpu_reset", 6.4)):
		XilinxISEPlatform.__init__(self, "xc7k325t-ffg900-1", _io, crg_factory)
コード例 #6
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6vlx240t-ff1156-1", _io,
         lambda p: CRG_DS(p, "clk200", "cpu_reset", 5.0))
コード例 #7
0
ファイル: zedboard.py プロジェクト: imuguruza/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc7z020-clg484-1", _io,
			lambda p: CRG_SE(p, "clk100", None))
コード例 #8
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc5vsx95t-ff1136-1", _io)
コード例 #9
0
ファイル: usrp_b100.py プロジェクト: gbraad/migen
 def __init__(self):
     XilinxISEPlatform.__init__(
         self, "xc3s1400a-ft256-4", _io,
         lambda p: CRG_DS(p, "clk64", "reset_n", rst_invert=True))
コード例 #10
0
ファイル: usrp_b100.py プロジェクト: RP7/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc3s1400a-ft256-4", _io,
			lambda p: CRG_DS(p, "clk64", "reset_n", rst_invert=True))
コード例 #11
0
ファイル: cordic_impl.py プロジェクト: gbraad/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", self._io,
			lambda p: CRG_SE(p, "clk", "rst", 10.))
コード例 #12
0
ファイル: platform.py プロジェクト: cntnly/pdq2
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc3s500e-4pq208", _io,
             lambda p: SimpleCRG(p, "clk50", None))
コード例 #13
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", self._io,
                                lambda p: SimpleCRG(p, "clk", "rst"))
コード例 #14
0
ファイル: cordic_impl.py プロジェクト: RP7/migen
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", self._io, lambda p: SimpleCRG(p, "clk", "rst"))
コード例 #15
0
ファイル: m1.py プロジェクト: brandonhamilton/mibuild
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", _io,
			lambda p: CRG_SE(p, "clk50", "user_btn", 20.0))
コード例 #16
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc3s200a-ft256-4", _ios,
                                lambda p: SimpleCRG(p, "clk0", None),
                                _connectors)
コード例 #17
0
 def __init__(self):
     io, chip = self._io["spartan3a"], "xc3s1400a-ft256-4"
     #io, chip = self._io["spartan6"], "xc6slx45-fgg484-2"
     XilinxISEPlatform.__init__(
         self, chip, io, lambda p: CRG_SE(p, "clk", "rst", 1000 / 32.))
コード例 #18
0
ファイル: roach.py プロジェクト: RP7/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc5vsx95t-ff1136-1", _io)
コード例 #19
0
ファイル: m1.py プロジェクト: fallen/mibuild
 def __init__(self):
     XilinxISEPlatform.__init__(
         self, "xc6slx45-fgg484-2", _io,
         lambda p: CRG_SE(p, "clk50", "user_btn", 20.0))
コード例 #20
0
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx9-tqg144-2", _io,
			lambda p: CRG_SE(p, "clk32", None, 31.25))
コード例 #21
0
ファイル: papilio_pro.py プロジェクト: brandonhamilton/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx9-tqg144-2", _io,
			lambda p: SimpleCRG(p, "clk32", None), _connectors)
コード例 #22
0
ファイル: ml605.py プロジェクト: RP7/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6vlx240t-ff1156-1", _io,
			lambda p: CRG_DS(p, "clk200", "user_btn"))
コード例 #23
0
ファイル: m1.py プロジェクト: jix/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", _io,
			lambda p: SimpleCRG(p, "clk50", None))
コード例 #24
0
ファイル: ov3.py プロジェクト: zozo123/ov_ftdi
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx9-tqg144-3", _io,
                                lambda p: SimpleCRG(p, "clk50", None))
コード例 #25
0
ファイル: rhino.py プロジェクト: jix/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx150t-fgg676-3", _io,
			lambda p: CRG_DS(p, "clk100", "gpio"))
コード例 #26
0
ファイル: rhino.py プロジェクト: fallen/mibuild
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx150t-fgg676-3", _io,
                                lambda p: CRG_DS(p, "clk100", "gpio", 10.0))
コード例 #27
0
ファイル: ztex_115d.py プロジェクト: tmbinc/migen
    def __init__(self):
        XilinxISEPlatform.__init__(self, "xc6slx150-3csg484", _io,
                                   lambda p: SimpleCRG(p, "clk_if", "rst"))
        self.add_platform_command("""
CONFIG VCCAUX = "2.5";
""")
コード例 #28
0
ファイル: apf27.py プロジェクト: RP7/migen
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc3s200a-ft256-4", _ios, lambda p: SimpleCRG(p, "clk0", None), _connectors)
コード例 #29
0
 def __init__(self):
     XilinxISEPlatform.__init__(
         self, "xc6vlx240t-ff1156-1", _io,
         lambda p: CRG_DS(p, "clk200", "user_btn", 5.0))
コード例 #30
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", self._io,
                                lambda p: CRG_SE(p, "clk", "rst", 10.))
コード例 #31
0
ファイル: m1.py プロジェクト: RP7/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", _io,
			lambda p: SimpleCRG(p, "clk50", None))
コード例 #32
0
ファイル: ztex_115d.py プロジェクト: gbraad/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx150-3csg484", _io,
				lambda p: CRG_SE(p, "clk_if", "rst"))
		self.add_platform_command("""
CONFIG VCCAUX = "2.5";
""")
コード例 #33
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx9-tqg144-2", _io,
                                lambda p: CRG_SE(p, "clk32", None),
                                _connectors)
コード例 #34
0
ファイル: ov3.py プロジェクト: Goddard/ov_ftdi
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx9-tqg144-3", _io,
         lambda p: SimpleCRG(p, "clk50", None))
コード例 #35
0
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", _io,
			lambda p: CRG_SE(p, "clk50", None))
		self.add_platform_command("CONFIG VCCAUX=\"3.3\";\n")
コード例 #36
0
ファイル: apf51.py プロジェクト: tmbinc/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx9-2csg225", _ios,
			lambda p: SimpleCRG(p, "clk3", None), _connectors)
コード例 #37
0
ファイル: zedboard.py プロジェクト: gbraad/migen
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc7z020-clg484-1", _io,
                                lambda p: CRG_SE(p, "clk100", None))
コード例 #38
0
ファイル: apf27.py プロジェクト: Martoni/migen
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc3s200a-ft256-4", _io,
         lambda p: CRG_SE(p, "CLK0", None))