示例#1
0
    def __init__(self):
        XilinxISEPlatform.__init__(
            self, "xc6slx9-2csg324", _io,
            lambda p: SimpleCRG(p, "clk_y3", "user_btn"))
        self.add_platform_command("""
CONFIG VCCAUX = "3.3";
""")
示例#2
0
文件: ztex_115d.py 项目: jix/mimisc
    def __init__(self, manual_timing=False, extra_io=[]):
        io = _io + extra_io
        self.manual_timing = manual_timing
        XilinxISEPlatform.__init__(self, "xc6slx150-3csg484", io,
                lambda p: SimpleCRG(p, "clk_if", None))
        self.add_platform_command("""
CONFIG VCCAUX = "2.5";
""")
示例#3
0
文件: ztex_115d.py 项目: jix/mimisc
    def __init__(self, manual_timing=False, extra_io=[]):
        io = _io + extra_io
        self.manual_timing = manual_timing
        XilinxISEPlatform.__init__(self, "xc6slx150-3csg484", io,
                                   lambda p: SimpleCRG(p, "clk_if", None))
        self.add_platform_command("""
CONFIG VCCAUX = "2.5";
""")
示例#4
0
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx9-2csg324", _io,
				lambda p: CRG_SE(p, "clk_y3", "user_btn"))
		self.add_platform_command("""
CONFIG VCCAUX = "3.3";
""")
示例#5
0
文件: kc705.py 项目: fallen/mibuild
	def __init__(self, crg_factory=lambda p: CRG_DS(p, "user_clk", "cpu_reset", 6.4)):
		XilinxISEPlatform.__init__(self, "xc7k325t-ffg900-1", _io, crg_factory)
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6vlx240t-ff1156-1", _io,
         lambda p: CRG_DS(p, "clk200", "cpu_reset", 5.0))
示例#7
0
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc7z020-clg484-1", _io,
			lambda p: CRG_SE(p, "clk100", None))
示例#8
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc5vsx95t-ff1136-1", _io)
示例#9
0
文件: usrp_b100.py 项目: gbraad/migen
 def __init__(self):
     XilinxISEPlatform.__init__(
         self, "xc3s1400a-ft256-4", _io,
         lambda p: CRG_DS(p, "clk64", "reset_n", rst_invert=True))
示例#10
0
文件: usrp_b100.py 项目: RP7/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc3s1400a-ft256-4", _io,
			lambda p: CRG_DS(p, "clk64", "reset_n", rst_invert=True))
示例#11
0
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", self._io,
			lambda p: CRG_SE(p, "clk", "rst", 10.))
示例#12
0
文件: platform.py 项目: cntnly/pdq2
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc3s500e-4pq208", _io,
             lambda p: SimpleCRG(p, "clk50", None))
示例#13
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", self._io,
                                lambda p: SimpleCRG(p, "clk", "rst"))
示例#14
0
文件: cordic_impl.py 项目: RP7/migen
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", self._io, lambda p: SimpleCRG(p, "clk", "rst"))
示例#15
0
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", _io,
			lambda p: CRG_SE(p, "clk50", "user_btn", 20.0))
示例#16
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc3s200a-ft256-4", _ios,
                                lambda p: SimpleCRG(p, "clk0", None),
                                _connectors)
示例#17
0
 def __init__(self):
     io, chip = self._io["spartan3a"], "xc3s1400a-ft256-4"
     #io, chip = self._io["spartan6"], "xc6slx45-fgg484-2"
     XilinxISEPlatform.__init__(
         self, chip, io, lambda p: CRG_SE(p, "clk", "rst", 1000 / 32.))
示例#18
0
文件: roach.py 项目: RP7/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc5vsx95t-ff1136-1", _io)
示例#19
0
文件: m1.py 项目: fallen/mibuild
 def __init__(self):
     XilinxISEPlatform.__init__(
         self, "xc6slx45-fgg484-2", _io,
         lambda p: CRG_SE(p, "clk50", "user_btn", 20.0))
示例#20
0
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx9-tqg144-2", _io,
			lambda p: CRG_SE(p, "clk32", None, 31.25))
示例#21
0
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx9-tqg144-2", _io,
			lambda p: SimpleCRG(p, "clk32", None), _connectors)
示例#22
0
文件: ml605.py 项目: RP7/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6vlx240t-ff1156-1", _io,
			lambda p: CRG_DS(p, "clk200", "user_btn"))
示例#23
0
文件: m1.py 项目: jix/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", _io,
			lambda p: SimpleCRG(p, "clk50", None))
示例#24
0
文件: ov3.py 项目: zozo123/ov_ftdi
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx9-tqg144-3", _io,
                                lambda p: SimpleCRG(p, "clk50", None))
示例#25
0
文件: rhino.py 项目: jix/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx150t-fgg676-3", _io,
			lambda p: CRG_DS(p, "clk100", "gpio"))
示例#26
0
文件: rhino.py 项目: fallen/mibuild
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx150t-fgg676-3", _io,
                                lambda p: CRG_DS(p, "clk100", "gpio", 10.0))
示例#27
0
文件: ztex_115d.py 项目: tmbinc/migen
    def __init__(self):
        XilinxISEPlatform.__init__(self, "xc6slx150-3csg484", _io,
                                   lambda p: SimpleCRG(p, "clk_if", "rst"))
        self.add_platform_command("""
CONFIG VCCAUX = "2.5";
""")
示例#28
0
文件: apf27.py 项目: RP7/migen
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc3s200a-ft256-4", _ios, lambda p: SimpleCRG(p, "clk0", None), _connectors)
示例#29
0
 def __init__(self):
     XilinxISEPlatform.__init__(
         self, "xc6vlx240t-ff1156-1", _io,
         lambda p: CRG_DS(p, "clk200", "user_btn", 5.0))
示例#30
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", self._io,
                                lambda p: CRG_SE(p, "clk", "rst", 10.))
示例#31
0
文件: m1.py 项目: RP7/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", _io,
			lambda p: SimpleCRG(p, "clk50", None))
示例#32
0
文件: ztex_115d.py 项目: gbraad/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx150-3csg484", _io,
				lambda p: CRG_SE(p, "clk_if", "rst"))
		self.add_platform_command("""
CONFIG VCCAUX = "2.5";
""")
示例#33
0
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx9-tqg144-2", _io,
                                lambda p: CRG_SE(p, "clk32", None),
                                _connectors)
示例#34
0
文件: ov3.py 项目: Goddard/ov_ftdi
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc6slx9-tqg144-3", _io,
         lambda p: SimpleCRG(p, "clk50", None))
示例#35
0
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx45-fgg484-2", _io,
			lambda p: CRG_SE(p, "clk50", None))
		self.add_platform_command("CONFIG VCCAUX=\"3.3\";\n")
示例#36
0
文件: apf51.py 项目: tmbinc/migen
	def __init__(self):
		XilinxISEPlatform.__init__(self, "xc6slx9-2csg225", _ios,
			lambda p: SimpleCRG(p, "clk3", None), _connectors)
示例#37
0
文件: zedboard.py 项目: gbraad/migen
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc7z020-clg484-1", _io,
                                lambda p: CRG_SE(p, "clk100", None))
示例#38
0
文件: apf27.py 项目: Martoni/migen
 def __init__(self):
     XilinxISEPlatform.__init__(self, "xc3s200a-ft256-4", _io,
         lambda p: CRG_SE(p, "CLK0", None))